veriwell - 开源的verilog仿真器


GPL
跨平台

软件简介

veriwell是一个开源的verilog仿真器,它实现了verilog 标准的IEEE 1364-1995.