EziDebug - FPGA硬件验证工具


其他
Windows
C/C++

软件简介

EziDebug
是一款用于支持FPGA硬件验证的辅助软件,从全可视化角度支持FPGA工程的调试,简化繁杂的调试过程,通过该软件能很快查找出代码中的错误,加快硬件验证的进度。整个软件安装方便,界面简洁,操作简单快捷,占用的系统资源很少,在使用的整个过程中,只需要使用不到十个的按键控件即能完成所有操作。

EziDebug的软件界面简单友好,有简约和完整两个界面形式,使用前端显示与FPGA工程软件无缝结合。该软件主要功能分为寄存器链插入、数据采集和导出、重建testbench和软件仿真验证等四个部分。EziDebug支持Altera的QuartusII软件平台,同时也支持Xilinx的ISE。寄存器链的插入基于VHDL和Verilog
HDL硬件描述语言,在RTL级硬件代码的每个寄存器附加一个采样寄存器,在触发条件下进行数据采样,该数据导出之用于软件仿真时寄存器的数值重建,重现FPGA运行过程中内部的真实信号波形。仿真验证通过比较输出结果来判断硬件运行正确与否。